2024

  • [C103] J. Liu, Z. Zhao, Z. Ding, B. Brock, H. Rong, and Z. Zhang, UniSparse: An Intermediate Language for General Sparse Format Customization, Conference on Object-Oriented Programming, Systems, Languages & Applications (OOPSLA), Oct. 2024.
  • [C102] N. Lazarev, V. Gohil, J. Tsai, A. Anderson, B. Chitlur, Z. Zhang, and C. Delimitrou, Sabre: Improving Memory Prefetching in Serverless MicroVMs with Near-Memory Hardware-Accelerated Compression, USENIX Symposium on Operating Systems Design and Implementation (OSDI), Jul. 2024.
  • [C101] D. C. Jung, M. Ruttenberg, P. Gao, S. Davidson, D. Petrisko, K. Li, A. Kamath, L. Cheng, S. Xie, P. Pan, Z. Zhao, Z. Yue, B. Veluri, S. Muralitharan, A. Sampson, A. Lumsdaine, Z. Zhang, C. Batten, M. Oskin, D. Richmond, and M. Taylor, SPD: Open-Source RISC-V Manycore with Scalable Resource Organization, International Symposium on Computer Architecture (ISCA), Jun./Jul. 2024.
  • [C100] H. Chen, N. Zhang, S. Xiang, Z. Zeng, M. Dai, and Z. Zhang, Allo: A Programming Model for Composable Accelerator Design, ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Jun. 2024.
  • [C99] C. Deng, Z. Yue, C. Yu, G. Sarar, R. Carey, R. Jain, and Z. Zhang, Less is More: Hop-wise Graph Attention for Scalable and Generalizable Learning on Circuits, Design Automation Conference (DAC), Jun. 2024.
  • [J21] H. Chen, J. Zhang, Y. Du, S. Xiang, Z. Yue, N. Zhang, Y. Cai, and Z. Zhang, Understanding the Potential of FPGA-Based Spatial Acceleration for Large Language Model Inference, ACM Transactions on Reconfigurable Technology and Systems (TRETS), May 2024. (FCCM'24 Journal Track)
  • [J20] C. Golden, D. Ilan, C. Huang, N. Zhang, Z. Zhang, and C. Batten, Supporting a Virtual Vector Instruction Set on a Commercial Compute-in-SRAM Accelerator, IEEE Computer Architecture Letters (CAL), 2024.
  • [C98] C. Deng, Z. Yue, and Z. Zhang, Polynormer: Polynomial-Expressive Graph Transformer in Linear Time, International Conference on Learning Representations (ICLR), May 2024.
  • [C97] H. Chen, C. H. Yu, S. Zheng, Z. Zhang, Zhiru Zhang, and Y. Wang, Slapo: A Schedule Language for Progressive Optimization of Large Deep Learning Model Training, International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr./May 2024.
  • [C96] Y. Li, N. Lazarev, D. Koufaty, Y. Yin, A. Anderson, Z. Zhang, G. E. Suh, K. Kaffes, and C. Delimitrou, LibPreemptible: Enabling Fast, Adaptive, and Hardware-Assisted User-Space Scheduling, International Symposium on High-Performance Computer Architecture (HPCA), Mar. 2024.
  • [C95] L.-N. Pouchet, E. Tucker, N. Zhang, H. Chen, D. Pal, G. Rodríguez, and Z. Zhang, Formal Verification of Source-to-Source Transformations for HLS, International Symposium on Field-Programmable Gate Arrays (FPGA), Mar. 2024. (Best Paper Award)

2023

2022

2021

2020

2019

2018

2017

2016

2015

2014

2013

2012

2011

2010

2009

2008

2007

2006

2005

2004

2003