2025
- [C109] Y. Cai, K. Yang, C. Deng, C. Yu, and Z. Zhang, SmoothE: Differentiable E-Graph Extraction, International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr. 2025.
- [C108] N. Zhang, A. Agnesina, N. Shbat, Y. Leader, Z. Zhang, and H. Ren, Cypress: VLSI-Inspired PCB Placement with GPU Acceleration, International Symposium on Physical Design (ISPD), Mar. 2025.
- [J23] H. Jin, Z. Yue, Z. Zhao, Y. Du, C. Deng, N. Srivastava, and Z. Zhang, Vesper: A Versatile Sparse Linear Algebra Accelerator with Configurable Compute Patterns, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2025.
2024
- [C107] J. Li, J.-N. Schmelzle, Y. Du, S. Heumos, A. Guarracino, G. Guidi, P. Prins, E. Garrison, and Z. Zhang, Rapid GPU-Based Pangenome Graph Layout, International Conference for High Performance Computing, Networking, Storage, and Analysis (SC), Nov. 2024.
- [C106] J. Liu, Z. Zhao, Z. Ding, B. Brock, H. Rong, and Z. Zhang, UniSparse: An Intermediate Language for General Sparse Format Customization, Conference on Object-Oriented Programming, Systems, Languages & Applications (OOPSLA), Oct. 2024.
- [C105] J. Dotzel, G. Wu, A. Li, M. Umar, Y. Ni, M. Abdelfattah, Z. Zhang, L. Cheng, M. Dixon, N. Jouppi, Q. Le, and S. Li, FLIQS: One-Shot Mixed-Precision Floating-Point and Integer Quantization Search, International Conference on Automated Machine Learning (AutoML), Sep. 2024. (Best Paper Award)
- [C104] M. Liu, Y. Li, J. Yin, Z. Zhang, and C. Yu, Differentiable Combinatorial Scheduling at Scale, International Conference on Machine Learning (ICML), Jul. 2024.
- [C103] J. Dotzel, Y. Chen, B. Kotb, S. Prasad, G. Wu, S. Li, M. Abdelfattah, and Z. Zhang, Learning from Students: Applying t-Distributions to Explore Accurate and Efficient Formats for LLMs, International Conference on Machine Learning (ICML), Jul. 2024.
- [C102] N. Lazarev, V. Gohil, J. Tsai, A. Anderson, B. Chitlur, Z. Zhang, and C. Delimitrou, Sabre: Hardware-Accelerated Snapshot Compression for Serverless MicroVMs, USENIX Symposium on Operating Systems Design and Implementation (OSDI), Jul. 2024.
- [C101] D. C. Jung, M. Ruttenberg, P. Gao, S. Davidson, D. Petrisko, K. Li, A. Kamath, L. Cheng, S. Xie, P. Pan, Z. Zhao, Z. Yue, B. Veluri, S. Muralitharan, A. Sampson, A. Lumsdaine, Z. Zhang, C. Batten, M. Oskin, D. Richmond, and M. Taylor, Scalable, Programmable and Dense: The HammerBlade Open-Source RISC-V Manycore, International Symposium on Computer Architecture (ISCA), Jul. 2024.
- [J22] S. Heumos, Andrea Guarracino, J.-N. Schmelzle, J. Li, Z. Zhang, J. Hagmann, S. Nahnsen, P. Prins, E. Garrison, Pangenome Graph Layout by Path-Guided Stochastic Gradient Descent, Bioinformatics, Jul. 2024.
- [C100] H. Chen, N. Zhang, S. Xiang, Z. Zeng, M. Dai, and Z. Zhang, Allo: A Programming Model for Composable Accelerator Design, ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Jun. 2024.
- [C99] C. Deng, Z. Yue, C. Yu, G. Sarar, R. Carey, R. Jain, and Z. Zhang, Less is More: Hop-wise Graph Attention for Scalable and Generalizable Learning on Circuits, Design Automation Conference (DAC), Jun. 2024.
- [J21] H. Chen, J. Zhang, Y. Du, S. Xiang, Z. Yue, N. Zhang, Y. Cai, and Z. Zhang, Understanding the Potential of FPGA-Based Spatial Acceleration for Large Language Model Inference, ACM Transactions on Reconfigurable Technology and Systems (TRETS), May 2024. (FCCM'24 Journal Track)
- [J20] C. Golden, D. Ilan, C. Huang, N. Zhang, Z. Zhang, and C. Batten, Supporting a Virtual Vector Instruction Set on a Commercial Compute-in-SRAM Accelerator, IEEE Computer Architecture Letters (CAL), Jan-Jun. 2024.
- [C98] C. Deng, Z. Yue, and Z. Zhang, Polynormer: Polynomial-Expressive Graph Transformer in Linear Time, International Conference on Learning Representations (ICLR), May 2024.
- [C97] H. Chen, C. H. Yu, S. Zheng, Z. Zhang, Zhiru Zhang, and Y. Wang, Slapo: A Schedule Language for Progressive Optimization of Large Deep Learning Model Training, International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr./May 2024.
- [C96] Y. Li, N. Lazarev, D. Koufaty, Y. Yin, A. Anderson, Z. Zhang, G. E. Suh, K. Kaffes, and C. Delimitrou, LibPreemptible: Enabling Fast, Adaptive, and Hardware-Assisted User-Space Scheduling, International Symposium on High-Performance Computer Architecture (HPCA), Mar. 2024.
- [C95] L.-N. Pouchet, E. Tucker, N. Zhang, H. Chen, D. Pal, G. RodrÃguez, and Z. Zhang, Formal Verification of Source-to-Source Transformations for HLS, International Symposium on Field-Programmable Gate Arrays (FPGA), Mar. 2024. (Best Paper Award)
2023
- [C94] Y. Zhang, A. Garg, Y. Cao, L. Lew, B. Ghorbani, Z. Zhang, and O. Firat, Binarized Neural Machine Translation, Conference on Neural Information Processing Systems (NeurIPS), Dec. 2023.
- [J19] L. Guo, Y. Chi, J. Lau, L. Song, X. Tian, M. Khatti, W. Qiao, J. Wang, E. Ustun, Z. Fang, Z. Zhang, J. Cong, TAPA: A Scalable Task-Parallel Dataflow Programming Framework for Modern FPGAs with Co-Optimization of HLS and Physical Design, ACM Transactions on Reconfigurable Technology and Systems (TRETS), Dec. 2023.
- [C93] E. S. Alcorta, A. Gerstlauer, C. Deng, Q. Sun, Z. Zhang, C. Xu, L. W. Wills, D. S. Lopera, W. Ecker, S. Garg, and J. Hu, Machine Learning for Embedded System Design, International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Sep. 2023. (Invited Paper)
- [C92] N. Lazarev, T. Ji, A. Kalia, D. Kim, I. Marinos, F. Y. Yan, C. Delimitrou, Z. Zhang, and A. Akella, Resilient Baseband Processing in Virtualized RANs with Slingshot, Annual Conference of the ACM Special Interest Group on Data Communication (SIGCOMM), Sep. 2023.
- [J18] L. Guo, P. Maidee, Y. Zhou, C. Lavin, E. Hung, W. Li, J. Lau, W. Qiao, Y. Chi, L. Song, Y. Xiao, A. Kaviani, Z. Zhang, and J. Cong, RapidStream 2.0: Automated Parallel Implementation of Latency Insensitive FPGA Designs Through Partial Reconfiguration, ACM Transactions on Reconfigurable Technology and Systems (TRETS), Sep. 2023.
- [J17] S. K. Venkataramanaiah, J. Meng, H. Suh, I. Yeo, J. Saikia, S. K. Cherupally, Y. Zhang , Z. Zhang, and J. Seo, A 28nm 8-bit Floating-Point Tensor Core based CNN Training Processor with Dynamic Activation/Weight Sparsification, IEEE Journal of Solid-State Circuits (JSSC), Jul. 2023.
- [C91] E. Ustun, C. Yu, and Z. Zhang, Equality Saturation for Datapath Synthesis: A Pathway to Pareto Optimality, Design Automation Conference (DAC), Jul. 2023. (Invited Perspective Paper)
- [J16] J. Liu, Z. Zhao, Z. Ding, B. Brock, H. Rong, and Z. Zhang, An Intermediate Language for General Sparse Format Customization, IEEE Computer Architecture Letters (CAL), Jul-Dec. 2023.
- [C90] Z. Zhang, M. Hofmann, and A. Butt, A Case for Open EDA Verticals, International Symposium on Physical Design (ISPD), Mar. 2023. (Invited Perspective Paper)
2022
- [C89] C. Deng, X. Li, Z. Feng, and Z. Zhang, GARNET: Reduced-Rank Topology Learning for Robust and Scalable Graph Neural Networks, Learning on Graphs Conference (LoG), Dec. 2022. (Spotlight)
- [C88] T. Yu, Y. Zhang, Z. Zhang, and C. De Sa, Understanding Hyperdimensional Computing for Parallel Single-Pass Learning, Conference on Neural Information Processing Systems (NeurIPS), Nov/Dec. 2022.
- [J15] J. Cong, J. Lau, G. Liu, S. Neuendorffer, P. Pan, K. Vissers, and Z. Zhang, FPGA HLS Today: Successes, Challenges, and Opportunities, ACM Transactions on Reconfigurable Technology and Systems (TRETS), Dec. 2022. (Keynote Paper)
- [B3] D. Pal, C. Deng, E. Ustun, C. Yu, and Z. Zhang, Machine Learning for Agile FPGA Design, Machine Learning Applications in Electronic Design Automation, ed. H. Ren and J. Hu, Springer, Aug. 2022.
- [J14] W. Hua, Z. Zhang, and G. E. Suh, Reverse Engineering CNN Models using Side-Channel Attacks, IEEE Design & Test, Aug. 2022. (IEEE HSTTC Top Picks in Hardware and Embedded Security)
- [C87] W. Hua, M. Umar, Z. Zhang, and G. E. Suh, GuardNN: Secure Accelerator Architecture for Privacy-Preserving Deep Learning, Design Automation Conference (DAC), Jul. 2022.
- [C86] D. Pal, Y.-H. Lai, S. Xiang, N. Zhang, H. Chen, J. Casas, P. Cocchini, Z. Yang, Jin Yang, L.-N. Pouchet, and Z. Zhang, Accelerator Design with Decoupled Hardware Customizations: Benefits and Challenges, Design Automation Conference (DAC), Jul. 2022. (Invited Paper)
- [C85] Y. Zhang, Z. Zhang, and L. Lew, PokeBNN: A Binary Pursuit of Lightweight Accuracy, The Conference on Computer Vision and Pattern Recognition (CVPR), Jun. 2022.
- [C84] M. Umar, W. Hua, Z. Zhang, and G. E. Suh, SoftVN: Efficient Memory Protection via Software-Provided Version Numbers, International Symposium on Computer Architecture (ISCA), Jun. 2022.
- [C83] W. Hua, M. Umar, Z. Zhang, and G. E. Suh, MGX: Near-Zero Overhead Memory Protection for Data-Intensive Accelerators, International Symposium on Computer Architecture (ISCA), Jun. 2022.
- [J13] L. Cheng, P. Pan, Z. Zhao, K. Ranjan, J. Weber, B. Veluri, S. Ehsani, M. Ruttenberg, D. Jung, P. Ivanov, D. Richmond, M. Taylor, Z. Zhang, and C. Batten, A Tensor Processing Framework for CPU-Manycore Heterogeneous Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jun. 2022.
- [C82] E. Ustun, I. San, J. Yin, C. Yu, and Z. Zhang, IMpress: Large Integer Multiplication Expression Rewriting for FPGA HLS, International Symposium on Field-Programmable Custom Computing Machines (FCCM), May 2022.
- [C81] S. Xiang, Y.-H. Lai, Y. Zhou, H. Chen, N. Zhang, D. Pal, and Z. Zhang, HeteroFlow: An Accelerator Programming Model with Decoupled Data Placement for Software-Defined FPGAs, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb/Mar. 2022.
- [C80] Y. Du, Y. Hu, and Z. Zhang, High-Performance Sparse Linear Algebra on HBM-Equipped FPGAs Using HLS: A Case Study on SpMV, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb/Mar. 2022.
- [C79] L. Guo, P. Maidee, Y. Zhou, C. Lavin, J. Wang, Y. Chi, W. Qiao, A. Kaviani, Z. Zhang, and J. Cong, RapidStream: Parallel Physical Implementation of FPGA HLS Designs, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb/Mar. 2022. (Best Paper Award)
- [B2] N. Srivastava, G. Liu, Y.-H. Lai, and Z. Zhang, FPGA-Specific Compilers, Handbook of Computer Architecture, ed. A. Chattopadhyay, Springer, Jan. 2022.
2021
- [C78] W. Hua, Y. Zhang, C. Guo, Z. Zhang, and G. E. Suh, BulletTrain: Accelerating Robust Neural Network Training via Boundary Example Mining, Conference on Neural Information Processing Systems (NeurIPS), Dec. 2021.
- [J14] Y.-H. Lai, E. Ustun, S. Xiang, Z. Fang, H. Rong, and Z. Zhang, Programming and Synthesis for Software-Defined FPGA Acceleration: Status and Future Prospects, ACM Transactions on Reconfigurable Technology and Systems (TRETS), Dec. 2021. (Invited Paper)
- [C77] Y. Zhou, H. Wang, J. Yin, and Z. Zhang, Distilling Arbitration Logic from Traces using Machine Learning: A Case Study on NoC, Design Automation Conference (DAC), Dec. 2021. (Best Paper Nominee)
- [C76] Y. Hu, Y. Du, E. Ustun, and Z. Zhang, GraphLily: Accelerating Graph Linear Algebra on HBM-Equipped FPGAs, International Conference On Computer Aided Design (ICCAD), Nov. 2021.
- [C75] S. Chattopadhyay, F. Lonsing, L. Piccolboni, D. Soni, P. Wei, X. Zhang, Y. Zhou, L. Carloni, D. Chen, J. Cong, R. Karri, Z. Zhang, C. Trippel, C. Barrett, and S. Mitra, Scaling Up Hardware Accelerator Verification using A-QED with Functional Decomposition, Twenty-first Conference on Formal Methods in Computer-Aided Design (FMCAD), Oct. 2021.
- [J12] C. Hao, J. Dotzel, J. Xiong, L. Benini, Z. Zhang, and D. Chen, Enabling Design Methodologies and Future Trends for Edge AI: Specialization and Co-design, IEEE Design & Test, Aug. 2021.
- [C74] W. Cheng, C. Deng, Z. Zhao, Y. Cai, Z. Zhang, and Z. Feng, SPADE: A Spectral Method for Black-Box Adversarial Robustness Evaluation, International Conference on Machine Learning (ICML), Jul. 2021.
- [C73] N. Lazarev, S. Xiang, N. Adit, Z. Zhang, and C. Delimitrou, Dagger: Efficient and Fast RPCs in Cloud Microservices with Near-Memory Reconfigurable NICs, International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr. 2021. (IEEE Micro Top Picks Honorable Mention)
- [C72] Y. Zhang, J. Pan, X. Liu, H. Chen, D. Chen, and Z. Zhang, FracBNN: Accurate and FPGA-Efficient Binary Neural Networks with Fractional Activations, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb/Mar. 2021. (Best Paper Nominee)
- [C71] L. Guo, Y. Chi, J. Wang, J. Lau, W. Qiao, E. Ustun, Z. Zhang, and J. Cong, AutoBridge: Coupling Coarse-Grained Floorplanning and Pipelining for High-Frequency HLS Design on Multi-Die FPGAs, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb/Mar. 2021. (Best Paper Award)
- [C70] J. Jiao, D. Pal, C. Deng, and Z. Zhang, GLAIVE: Graph Learning Assisted Instruction Vulnerability Estimation, Design, Automation and Test in Europe Conference (DATE), Feb. 2021.
2020
- [C69] Y. Hu, Z. Ye, M. Wang, J. Yu, D. Zheng, M. Li, Z. Zhang, Zhiru Zhang, and Y. Wang, FeatGraph: A Flexible and Efficient Backend for Graph Neural Network Systems, International Conference for High Performance Computing, Networking, Storage, and Analysis (SC), Nov. 2020.
- [C68] Y.-H. Lai, H. Rong, S. Zheng, W. Zhang, X. Cui, Y. Jia, J. Wang, B. Sullivan, Z. Zhang, Y. Liang, Y. Zhang, J. Cong, N. George, J. Alvarez, C. Hughes and P. Dubey, SuSy: A Programming Model for Productive Construction of High-Performance Systolic Arrays on FPGAs, International Conference On Computer Aided Design (ICCAD), Nov. 2020.
- [C67] E. Ustun, C. Deng, D. Pal, and Z. Zhang, Accurate Operation Delay Prediction for FPGA HLS using Graph Neural Networks, International Conference On Computer Aided Design (ICCAD), Nov. 2020.
- [C66] N. Srivastava, H. Jin, J. Liu, D. Albonesi, and Z. Zhang, MatRaptor: A Sparse-Sparse Matrix Multiplication Accelerator Based on Row-Wise Product, International Symposium on Microarchitecture (MICRO), Oct. 2020.
- [J11] N. Lazarev, N. Adit, S. Xiang, Z. Zhang, and C. Delimitrou, Dagger: Towards Efficient RPCs in Cloud Microservices with Near-Memory Reconfigurable NICs, IEEE Computer Architecture Letters (CAL), Jul-Dec. 2020.
- [C65] L. Guo, J. Lau, Y. Chi, J. Wang, C. Yu, Z. Chen, Z. Zhang, and J. Cong, Analysis and Optimization of the Implicit Broadcasts in FPGA HLS to Improve Maximum Frequency, Design Automation Conference (DAC), Jul. 2020.
- [C64] E. Singh, F. Lonsing, S. Chattopadhyay, M. Strange, P. Wei, X. Zhang, Y. Zhou, J. Cong, D. Chen, Z. Zhang, P. Raina, C. Barrett, and S. Mitra, A-QED Verification of Hardware Accelerators, Design Automation Conference (DAC), Jul. 2020.
- [C63] R. Nigam, S. Atapattu, S. Thomas, Z. Li, T. Bauer, Y. Ye, A. Koti, A. Sampson, and Z. Zhang, Predictable Design of FPGA Accelerators using Time-Sensitive Affine Types, ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Jun. 2020. (Selected into MIT PL Review 2023)
- [C62] C. Deng, Z. Zhao, Y. Wang, Z. Zhang, and Z. Feng, GraphZoom: A Multi-Level Spectral Approach for Accurate and Scalable Graph Embedding, International Conference on Learning Representations (ICLR), Apr. 2020. (Oral Category)
- [C61] Y. Zhang, R. Zhao, W. Hua, N. Xu, G. E. Suh, and Z. Zhang, Precision Gating: Improving Neural Network Efficiency with Dynamic Dual-Precision Activations, International Conference on Learning Representations (ICLR), Apr. 2020.
- [C60] N. Srivastava, H. Jin, S. Smith, H. Rong, D. Albonesi, and Z. Zhang, Tensaurus: A Versatile Accelerator for Mixed Sparse-Dense Tensor Computations, International Symposium on High-Performance Computer Architecture (HPCA), Feb. 2020.
2019
- [J10] A. Rovinski, C. Zhao, K. Al-Hawaj, P. Gao, S. Xie, C. Torng, S. Davidson, A. Amarnath, L. Vega, B. Veluri, A. Rao, T. Ajayi, J. Puscar, S. Dai, R. Zhao, D. Richmond, Z. Zhang, I. Galton, C. Batten, M. Taylor, and R. Dreslinski, Evaluating Celerity: A 16nm 695 Giga-RISC-V Instructions/s Manycore Processor with Synthesizable PLL, IEEE Solid-State Circuits Letters (SSC-L), Dec. 2019.
- [C59] W. Hua, Y. Zhou, C. De Sa, Z. Zhang, and G. E. Suh, Channel Gating Neural Networks, Conference on Neural Information Processing Systems (NeurIPS), Dec. 2019.
- [C58] W. Hua, Y. Zhou, C. De Sa, Z. Zhang, and G. E. Suh, Boosting the Performance of CNN Accelerators with Dynamic Fine-Grained Channel Gating, International Symposium on Microarchitecture (MICRO), Oct. 2019.
- [C57] R. Zhao, Y. Hu, J. Dotzel, C. De Sa, and Z. Zhang, Building Efficient Deep Neural Networks with Unitary Group Convolutions, The Conference on Computer Vision and Pattern Recognition (CVPR), Jun. 2019.
- [C56] R. Zhao, Y. Hu, J. Dotzel, C. De Sa, and Z. Zhang, Improving Neural Network Quantization without Retraining using Outlier Channel Splitting, International Conference on Machine Learning (ICML), Jun. 2019.
- [C55] A. Rovinski, C. Zhao, K. Al-Hawaj, P. Gao, S. Xie, C. Torng, S. Davidson, A. Amarnath, L. Vega, B. Veluri, A. Rao, T. Ajayi, J. Puscar, S. Dai, R. Zhao, D. Richmond, Z. Zhang, I. Galton, C. Batten, M. Taylor, and R. Dreslinski, A 1.4 GHz 695 Giga RISC-V Inst/s 496-core Manycore Processor with Mesh On-Chip Network and an All-Digital Synthesized PLL in 16nm CMOS, Symposium on VLSI Circuits (VLSI), Jun. 2019.
- [C54] Y. Zhou, H. Ren, Y. Zhang, B. Keller, B. Khailany, and Z. Zhang, PRIMAL: Power Inference using Machine Learning, Design Automation Conference (DAC), Jun. 2019.
- [C53] C. Yu and Z. Zhang, Painting on Placement: Forecasting Routing Congestion using Conditional Generative Adversarial Nets, Design Automation Conference (DAC), Jun. 2019.
- [C52] Z. Jiang, H. Jin, G. E. Suh, and Z. Zhang, Designing Secure Cryptographic Accelerators with Information Flow Enforcement: A Case Study on AES, Design Automation Conference (DAC), Jun. 2019.
- [C51] S. Dai and Z. Zhang, Improving Scalability of Exact Modulo Scheduling with Specialized Conflict-Driven Learning, Design Automation Conference (DAC), Jun. 2019.
- [C50] G. Liu, J. Primmer, and Z. Zhang, Rapid Generation of High-Quality RISC-V Processors from Functional Instruction Set Specifications, Design Automation Conference (DAC), Jun. 2019.
- [C49] N. Srivastava, H. Rong, P. Barua, G. Feng, H. Cao, Z. Zhang, D. Albonesi, V. Sarkar, W. Chen, P. Petersen, G. Lowney, A. Herr, C. Hughes, T. Mattson, and P. Dubey, T2S-Tensor: Productively Generating High-Performance Spatial Hardware for Dense Tensor Computations, International Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr./May 2019.
- [C48] E. Ustun, S. Xiang, J. Gui, C. Yu, and Z. Zhang, LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure, International Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr./May 2019.
- [C47] Y.-H. Lai, Y. Chi, Y. Hu, J. Wang, C. H. Yu, Y. Zhou, J. Cong, and Z. Zhang, HeteroCL: A Multi-Paradigm Programming Infrastructure for Software-Defined Reconfigurable Computing, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2019. (Best Paper Award)
- [J9] G. Liu and Z. Zhang, PIMap: A Flexible Framework for Improving LUT-Based Technology Mapping via Parallelized Iterative Optimization, ACM Transactions on Reconfigurable Technology and Systems (TRETS), Jan. 2019.
2018
- [C46] Z. Jiang, S. Dai, G. E. Suh, and Z. Zhang, High-Level Synthesis with Timing-Sensitive Information Flow Enforcement, International Conference On Computer Aided Design (ICCAD), Nov. 2018.
- [C45] W. Hua, Z. Zhang, and G. E. Suh, Reverse Engineering Convolutional Neural Networks Through Side-channel Information Leaks, Design Automation Conference (DAC), Jun. 2018.
- [C44] S. Dai, Y. Zhou, H. Zhang, E. Ustun, E. F.Y. Young, and Z. Zhang, Fast and Accurate Estimation of Quality of Results in High-Level Synthesis with Machine Learning, International Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr./May 2018. (Best Paper Award — Short Paper Category)
- [J8] S. Davidson, S. Xie, C. Torng, K. Al-Hawai, A. Rovinski, T. Ajayi, L. Vega, C. Zhao, R. Zhao, S. Dai, A. Amarnath, B. Veluri, P. Gao, A. Rao, G. Liu, R. Gupta, Z. Zhang, R. Dreslinski, C. Batten, and M. Taylor, The Celerity Open-Source 511-Core RISC-V Tiered Accelerator Fabric: Fast Architectures and Design Methodologies for Fast Chips, IEEE Micro, Mar/Apr. 2018.
- [C43] S. Dai, G. Liu, and Z. Zhang, A Scalable Approach to Exact Resource-Constrained Scheduling Based on a Joint SDC and SAT Formulation, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2018. (Best Paper Nominee)
- [C42] Y. Zhou, U. Gupta, S. Dai, R. Zhao, N. Srivastava, H. Jin, J. Featherston, Y.-H. Lai, G. Liu, G. Velasquez, W. Wang, and Z. Zhang, Rosetta: A Realistic High-Level Synthesis Benchmark Suite for Software Programmable FPGAs, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2018.
2017
- [C41] G. Liu and Z. Zhang, Statistically Certified Approximate Logic Synthesis, International Conference on Computer-Aided Design (ICCAD), Nov. 2017.
- [C40] S. Dai, G. Liu, R. Zhao, and Z. Zhang, Enabling Adaptive Loop Pipelining in High-Level Synthesis, 52nd Annual Asilomar Conference on Signals, Systems, and Computers, Oct. 2017. (Invited Paper)
- [W4] T. Ajayi, K. Al-Hawaj, A. Amarnath, S. Dai, S. Davidson, P. Gao, G. Liu, A. Rao, A. Rovinski, N. Sun, C. Torng, L. Vega, B. Veluri, S. Xie, C. Zhao, R. Zhao, C. Batten, R. Dreslinski, R. Gupta, M. Taylor, and Z. Zhang, Experiences Using the RISC-V Ecosystem to Design an Accelerator-Centric SoC in TSMC 16nm, First Workshop on Computer Architecture Research with RISC-V (CARRV), Oct. 2017.
- [C39] T. Ajayi, K. Al-Hawaj, A. Amarnath, S. Dai, S. Davidson, P. Gao, G. Liu, A. Lotfi, J. Puscar, A. Rao, A. Rovinski, L. Salem, N. Sun, C. Torng, L. Vega, B. Veluri, X. Wang, S. Xie, C. Zhao, R. Zhao, C. Batten, R. Dreslinski, I. Galton, R. Gupta, P. Mercier, M. Srivastava, M. Taylor, and Z. Zhang, Celerity: An Open-Source RISC-V Tiered Accelerator Fabric, ACM/IEEE Symposium on High-Performance Chips (HOTCHIPS), Aug. 2017.
- [W3] J.H. Lin, T. Xing, R. Zhao, Z. Zhang, M. Srivastava, Z. Tu, and R. Gupta, Binarized Neural Networks with Separable Filters for Efficient Hardware Acceleration, IEEE Conference on Computer Vision and Pattern Recognition Workshops (CVPRW), Jul. 2017.
- [C38] E. Bartz, J. Chaves, Y. Gershtein, E. Halkiadakis, M. Hildreth, S. Kyriacou, K. Lannon, A. Lefeld, A. Ryd, L. Skinnari, R. Stone, C. Strohman, Z. Tao, B. Winer, P. Wittich, Z. Zhang, and M. Zientek, FPGA-based Real-time Charged Particle Trajectory Reconstruction at the Large Hadron Collider, International Symposium on Field-Programmable Custom Computing Machines (FCCM), May 2017.
- [C37] G. Liu and Z. Zhang, A Parallelized Iterative Improvement Approach to Area Optimization for LUT-Based Technology Mapping, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2017. (Best Paper Nominee)
- [C36] Y. Zhou, K. Al-Hawaj, and Z. Zhang, A New Approach to Automatic Memory Banking using Trace-Based Address Mining, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2017.
- [C35] R. Zhao, W. Song, W. Zhang, T. Xing, J.-H. Lin, M. Srivastava, R. Gupta, and Z. Zhang, Accelerating Binarized Convolutional Neural Networks with Software-Programmable FPGAs, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2017.
- [C34] C. Xu, G. Liu, R. Zhao, S. Yang, G. Luo, and Z. Zhang, A Parallel Bandit-Based Approach for Autotuning FPGA Compilation, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2017.
- [C33] S. Dai, R. Zhao, G. Liu, S. Srinath, U. Gupta, C. Batten, and Z. Zhang, Dynamic Hazard Resolution for Pipelining Irregular Loops in High-Level Synthesis, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2017.
- [C32] N. Srivastava, S. Dai, R. Manohar, and Z. Zhang, Accelerating Face Detection on Programmable SoC Using C-Based Synthesis, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2017.
- [J7] G. Liu, M. Tan, S. Dai, R. Zhao, and Z. Zhang, Architecture and Synthesis for Area-Efficient Pipelining of Irregular Loop Nests, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Feb. 2017.
2016
- [J6] D. Chen, J. Cong, S. Gurumani, W-M. Hwu, K. Rupnow, and Z. Zhang, Platform Choices and Design Demands for IoT Platforms: Cost, Power and Performance Tradeoffs, IET Cyber-Physical Systems: Theory & Applications (IET-CPS), Nov. 2016.
- [C31] R. Zhao, G. Liu, S. Srinath, C. Batten, and Z. Zhang, Improving High-Level Synthesis with Decoupled Data Structure Optimization, Design Automation Conference (DAC), Jun. 2016.
- [C30] A. Majumdar, Z. Zhang, and D. Albonesi, Characterizing the Benefits and Limitations of Smart Building Meeting Room Scheduling, International Conference on Cyber-Physical Systems (ICCPS), Apr. 2016.
- [W2] D. Chen, J. Cong, S. Gurumani, W-M. Hwu, K. Rupnow, and Z. Zhang, System Synthesis and Automated Verification: Design Demands for IoT Devices, Sensors to Cloud Architectures Workshop (SCAW), Mar. 2016.
2015
- [C29] F. Koushanfar, A. Mirhoseini, G. Qu, and Z. Zhang, DA Systemization of Knowledge: A Catalog of Prior Forward-Looking Initiatives, International Conference on Computer-Aided Design (ICCAD), Nov. 2015. (Invited Paper)
- [C28] M. Tan, G. Liu, R. Zhao, S. Dai, and Z. Zhang, ElasticFlow: A Complexity-Effective Approach for Pipelining Irregular Loop Nests, International Conference on Computer-Aided Design (ICCAD), Nov. 2015.
- [C27] G. Liu and Z. Zhang, A Reconfigurable Analog Substrate for Highly Efficient Maximum Flow Computation, Design Automation Conference (DAC), Jun. 2015.
- [C26] R. Zhao, M. Tan, S. Dai, and Z. Zhang, Area-Efficient Pipelining for FPGA-Targeted High-Level Synthesis, Design Automation Conference (DAC), Jun. 2015.
- [C25] M. Tan, S. Dai, U. Gupta, and Z. Zhang, Mapping-Aware Constrained Scheduling for LUT-Based FPGAs, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2015.
- [J5] Z. Zhang, D. Chen, S. Dai, and K. Campbell, High-Level Synthesis for Low-Power Design, IPSJ Transactions on System LSI Design Methodology (T-SLDM), Feb. 2015. (Invited Paper)
2014
- [C24] S. Srinath, B. Ilbeyi, M. Tan, G. Liu, Z. Zhang, and C. Batten, Architectural Specialization for Inter-Iteration Loop Dependence Patterns, International Symposium on Microarchitecture (MICRO), Dec. 2014.
- [C23] M. Tan, B. Liu, S. Dai, and Z. Zhang, Multithreaded Pipeline Synthesis for Data-Parallel Kernels, International Conference on Computer-Aided Design (ICCAD), Nov. 2014.
- [C22] G. Liu, Y. Tao, M. Tan, and Z. Zhang, CASA: Correlation-Aware Speculative Adders, International Symposium on Low Power Electronics and Design (ISLPED), Aug. 2014.
- [C21] S. Dai, M. Tan, K. Hao, and Z. Zhang, Flushing-Enabled Loop Pipelining for High-Level Synthesis, Design Automation Conference (DAC), Jun. 2014.
2013
- [C20] Z. Zhang and B. Liu, SDC-Based Modulo Scheduling for Pipeline Synthesis, International Conference on Computer-Aided Design (ICCAD), Nov. 2013.
2012
- [C19] Z. Zhang and D. Chen, Challenges and Opportunities of ESL Design Automation, International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Oct. 2012. (Invited Paper)
2011
- [J4] J. Cong, B. Liu, S. Neuendorffer, J. Noguera, K. Vissers, and Z. Zhang, High-Level Synthesis for FPGAs: From Prototyping to Deployment, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 30(4):473–491, Apr. 2011. (Keynote Paper)
2010
- [J3] J. Cong, B. Liu, R. Majumdar, and Z. Zhang, Behavior-Level Observability Analysis and Operation Gating in Low-Power Behavioral Synthesis, ACM Transactions on Design Automation of Electronic Systems (TODAES), 16(1):1–29, Nov. 2010. (Best Paper Award)
- [C18] J. Zhang, Z. Zhang, S. Zhou, M. Tan, X. Liu, X. Cheng, and J. Cong, Bit-Level Optimization for High-Level Synthesis and FPGA-Based Acceleration, International Symposium on FPGAs (FPGA), Feb. 2010.
2009
- [C17] J. Cong, B. Liu, and Z. Zhang, Scheduling with Soft Constraints, International Conference on Computer-Aided Design (ICCAD), Nov 2009. (Best Paper Nominee)
- [C16] J. Cong, B. Liu, and Z. Zhang, Behavior-Level Observability Don't-Cares and Application to Low-Power Behavioral Synthesis, International Symposium on Low Power Electronics and Design (ISLPED), Aug. 2009.
- [W1] J. Zhang, Z. Zhang, S. Zhou, M. Tan, X. Liu, X. Cheng, and J. Cong, Bit-Level Transformation and Optimization for Hardware Synthesis of Algorithmic Descriptions, International Workshop on Logic & Synthesis (IWLS), Aug. 2009.
- [C15] J. Cong, K. Gururaj, B. Liu, C. Liu, Z. Zhang, S. Zhou, and Y. Zou, Evaluation of Static Analysis Techniques for Fixed-Point Precision Optimization, IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr. 2009.
2008
- [B1] Z. Zhang, Y. Fan, W. Jiang, G. Han, C. Yang, and J. Cong, AutoPilot: A Platform-Based ESL Synthesis System, High-Level Synthesis: From Algorithm to Digital Circuit, ed. P. Coussy and A. Morawiec, Springer, 2008.
- [C14] W. Jiang, Z. Zhang, M. Potkonjnak, and J. Cong, Scheduling with Integer Time Budgeting for Low-Power Optimization, Asia and South Pacific Design Automation Conference (ASP-DAC), Jan. 2008.
- [C13] C.T. Hsieh, J. Cong, S.C. Chang, and Z. Zhang, Behavioral Synthesis with Activating Unused Flip-Flops for Reducing Glitch Power in FPGA, Asia and South Pacific Design Automation Conference (ASP-DAC), Jan. 2008.
2007
- [C12] D. Chen, J. Cong, Y. Fan, and Z. Zhang, High-Level Power Estimation and Low-Power Design Space Exploration for FPGAs, Asia and South Pacific Design Automation Conference (ASP-DAC), Jan. 2007.
2006
- [J2] J. Cong, G. Han, and Z. Zhang, Architecture and Compiler Optimization for Data Bandwidth Improvement in Configurable Processors, IEEE Transaction on Very Large Scale Integration Systems (TVLSI), 14(9):986–997, Sep. 2006.
- [C11] J. Cong, Y. Fan, G. Han, W. Jiang, and Z. Zhang, Platform-Based Behavior-Level and System-Level Synthesis, IEEE International SOC Conference (SOCC), Sep. 2006. (Invited Paper)
- [C10] J. Cong and Z. Zhang, An Efficient and Versatile Scheduling Algorithm Based on SDC Formulation, Design Automation Conference (DAC), Jul. 2006. (TCFPGA Hall of Fame — Class of 2022)
- [C9] J. Cong, Y. Fan, G. Han, W. Jiang, and Z. Zhang, Behavior and Communication Co-Optimization for Systems with Sequential Communication Media, Design Automation Conference (DAC), Jul. 2006.
2005
- [C8] J. Cong, G. Han, and Z. Zhang, Architecture and Compilation for Data Bandwidth Improvement in Configurable Embedded Processors, International Conference on Computer-Aided Design (ICCAD), Nov. 2005.
- [C7] J. Cong, Y. Fan, G. Han, A. Jagannathan, G. Reinman, and Z. Zhang, Instruction Set Extension with Shadow Registers for Configurable Processors, International Symposium on FPGAs (FPGA), Feb. 2005.
- [C6] J. Cong, Y. Fan, G. Han, Y. Lin, J. Xu, Z. Zhang, and X. Cheng, Bitwidth-Aware Scheduling and Binding in High-Level Synthesis, Asia and South Pacific Design Automation Conference (ASP-DAC), Jan. 2005.
2004
- [C5] J. Cong, Y. Fan, and Z. Zhang, Architecture-Level Synthesis for Automatic Interconnect Pipelining, Design Automation Conference (DAC), Jun. 2004.
- [J1] J. Cong, Y. Fan, G. Han, X. Yang, and Z. Zhang, Architecture and Synthesis for On-Chip Multicycle Communication, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 23(4):550–564, Apr. 2004.
- [C4] J. Cong, Y. Fan, G. Han, and Z. Zhang, Application-Specific Instruction Generation for Configurable Processor Architectures, International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2004. (TCFPGA Hall of Fame — Class of 2023)
2003
- [C3] J. Cong, Y. Fan, G. Han, X. Yang, and Z. Zhang, Architectural Synthesis Integrated with Global Placement for Multi-Cycle Communication, International Conference on Computer-Aided Design (ICCAD), Nov. 2003.
- [C2] Z. Zhang, Y. Fan, M. Potkonjak, and J. Cong, Gradual Relaxation Technique with Application to Behavioral Synthesis, International Conference on Computer-Aided Design (ICCAD), Nov. 2003.
- [C1] J. Cong, Y. Fan, X. Yang, and Z. Zhang, Architecture and Synthesis for Multi-Cycle Communication, International Symposium on Physical Design (ISPD), Apr. 2003. (Invited Paper)
IEEE Copyright Notice: This material is presented to ensure
timely dissemination of scholarly and technical work. Copyright and
all rights therein are retained by authors or by other copyright
holders. All persons copying this information are expected to adhere
to the terms and constraints invoked by each author's copyright. In
most cases, these works may not be reposted without the explicit
permission of the copyright holder.
ACM Copyright Notice: This is the
author's version of the work. It is posted here by permission of ACM
for your personal use. Not for redistribution.
Other Copyright: All non IEEE/ACM papers are copyright of the
respective journal or conference organizing body. These online copies
are provided for your personal research use only.